-
1 machine instruction processor
Техника: процессор обработки командУниверсальный англо-русский словарь > machine instruction processor
-
2 machine-instruction processor
= MIPEnglish-Russian electronics dictionary > machine-instruction processor
-
3 machine-instruction processor
The New English-Russian Dictionary of Radio-electronics > machine-instruction processor
-
4 processor
1) вчт процессорг) обработчик программ на языке программирования; компилятор, транслятор; интерпретаторд) (любое) устройство обработки данных (напр. арифмометр)е) (любая) программа для управления процессами передачи, обмена и обработки данных2) исполнитель или участник (определённого) процесса4) производящий обработку субъект; орудие или средство обработки•- acoustic processor
- airborne processor
- algorithm processor
- AMD processor
- analog processor
- analog signal processor
- ancillary control processor
- application processor
- arithmetical processor
- ARM processor
- array processor
- associative processor
- attached processor
- auxiliary processor
- back-end processor
- baseband processor
- binary-image processor
- bit-slice processor - cellular logic image processor
- central processor
- CFAR processor
- channel processor
- chirp-transform processor
- CISC processor
- clone processor
- co-processor
- coherent optical processor
- command processor
- communicating word processors
- communications processor
- complex instruction set computing processor
- computer processor
- constant false-alarm-rate processor
- content-addressable processor
- control processor
- cryogenic associative processor
- data processor
- database processor
- data communications processor
- data-flow processor
- data parallel processor
- data transfer processor
- DEC-Alpha processor
- decentralized redundant processor
- decision processor
- dedicated processor
- dedicated word processor
- diagnostic processor
- digital processor
- digital image processor
- digital signal processor
- digital video processor
- display processor
- distributed processor
- Doppler processor
- down-line processor
- dual processor
- dual-issue processor
- dwell-time processor
- dyadic processor
- EIO processor
- embedded processor
- error input/output processor
- farmer processor
- fast digital processor
- fast-Fourier-transform processor
- film processor
- fixed-point processor
- flexible processor
- floating-point processor
- Fourier processor
- Fourier transform processor - gateway processor
- generalized linear processor
- general-purpose processor - hardwired processor
- heterodyne processor - homomorphic processor
- horizontal processor
- host processor
- IBM processor
- idea processor
- image processor
- incoherent optical processor
- industrial universal digital processor
- information processor
- input/output processor
- instruction processor
- instruction-set processor
- integral multiprotocol processor
- integrated graphics processor
- Intel processor
- interactive processor
- interface processor
- interface message processor
- internetwork processor
- interruption queue processor
- keyboard processor
- knowledge information processor
- language processor
- later processor
- L-cell processor
- linguistic processor
- link input processor
- list processor
- low-power processor
- LSI processor - mailing list processor
- main processor
- maintenance processor
- massively parallel processor
- master processor
- mathematical processor
- matrix processor
- maximum-entropy processor
- media and communication processor
- message processor
- microcoded processor
- microprogrammable processor
- microprogrammed processor
- modular acoustic processor
- MOS processor
- motherboard processor
- Motorola processor
- multichip processor
- multi-issue processor
- multiprotocol communications processor
- N-bit processor
- network processor
- node processor
- office processor
- off-line processor
- on-line processor
- operator external interrupt processor
- optical signal processor
- outline processor - Pentium processor
- peripheral processor
- photomask processor
- picture processor
- pipelined processor
- pixel processor
- post-processor
- PowerPC processor
- pre-processor
- problem-oriented processor
- queue processor
- raster processor
- raster image processor
- reduced instruction set computing processor
- request queue processor
- RISC processor
- scalar processor
- scan-time processor
- scientific processor
- second processor
- semantic processor
- sequential processor
- service processor
- single-chip processor
- single-issue processor
- slave processor
- SNA processor
- space-time processor
- stack-based processor
- stand-alone processor
- superpipelined processor
- superscalar processor
- symbolic processor
- symmetrical multiple processor
- synthesis processor
- system platform processor
- systolic processor
- target processor
- terminal processor
- terminal interface processor
- text processor
- transaction processor
- up-line processor
- user core allocation queue processor
- vector processor
- vertical processor
- very long instruction word processor
- video processor
- video-to-digital processor
- virtual processor
- visual image processor
- VLIW processor
- voice processor
- waveform matrix processor
- wavefront processor
- word processor
- word-oriented processor
- worker processor -
5 processor
1) вчт. процессорг) обработчик программ на языке программирования; компилятор, транслятор; интерпретаторд) (любое) устройство обработки данных (напр. арифмометр)е) (любая) программа для управления процессами передачи, обмена и обработки данных4) производящий обработку субъект; орудие или средство обработки•- acoustic processor
- airborne processor
- algorithm processor
- AMD processor
- analog processor
- analog signal processor
- ancillary control processor
- application processor
- arithmetical processor
- ARM processor
- array processor
- associative processor
- attached processor
- auxiliary processor
- back-end processor
- baseband processor
- binary-image processor
- bit-slice processor
- bootstrap processor
- Celeron processor
- cellular logic image processor
- central processor
- CFAR processor
- channel processor
- chirp-transform processor
- CISC processor
- clone processor
- coherent optical processor
- command processor
- communicating word processors
- communications processor
- complex instruction set computing processor
- computer processor
- constant false-alarm-rate processor
- content-addressable processor
- control processor
- co-processor
- cryogenic associative processor
- data communications processor
- data parallel processor
- data processor
- data transfer processor
- database processor
- data-flow processor
- DEC Alpha processor
- decentralized redundant processor
- decision processor
- dedicated processor
- dedicated word processor
- diagnostic processor
- digital image processor
- digital processor
- digital signal processor
- digital video processor
- display processor
- distributed processor
- Doppler processor
- down-line processor
- dual processor
- dual-issue processor
- dwell-time processor
- dyadic processor
- EIO processor
- embedded processor
- error input/output processor
- farmer processor
- fast digital processor
- fast-Fourier-transform processor
- film processor
- fixed-point processor
- flexible processor
- floating-point processor
- Fourier processor
- Fourier transform processor
- frequency-domain array processor
- front-end processor
- games processor
- gateway processor
- generalized linear processor
- general-purpose processor
- Golay logic processor
- Golay transform processor
- graphic processor
- hardwired processor
- heterodyne processor
- heterogeneous element processor
- high definition video processor
- higher processor
- homomorphic processor
- horizontal processor
- host processor
- IBM processor
- idea processor
- image processor
- incoherent optical processor
- industrial universal digital processor
- information processor
- input/output processor
- instruction processor
- instruction-set processor
- integral multiprotocol processor
- integrated graphics processor
- Intel processor
- interactive processor
- interface message processor
- interface processor
- internetwork processor
- interruption queue processor
- keyboard processor
- knowledge information processor
- language processor
- later processor
- L-cell processor
- linguistic processor
- link input processor
- list processor
- low-power processor
- LSI processor
- machine-instruction processor
- macro processor
- mailing list processor
- main processor
- maintenance processor
- massively parallel processor
- master processor
- mathematical processor
- matrix processor
- maximum-entropy processor
- media and communication processor
- message processor
- microcoded processor
- microprogrammable processor
- microprogrammed processor
- modular acoustic processor
- MOS processor
- motherboard processor
- Motorola processor
- multichip processor
- multi-issue processor
- multiprotocol communications processor
- N-bit processor
- network processor
- node processor
- office processor
- off-line processor
- on-line processor
- operator external interrupt processor
- optical signal processor
- outline processor
- OverDrive processor
- parallel processor
- Pentium processor
- peripheral processor
- photomask processor
- picture processor
- pipelined processor
- pixel processor
- post-processor
- PowerPC processor
- pre-processor
- problem-oriented processor
- queue processor
- raster image processor
- raster processor
- reduced instruction set computing processor
- request queue processor
- RISC processor
- scalar processor
- scan-time processor
- scientific processor
- second processor
- semantic processor
- sequential processor
- service processor
- single-chip processor
- single-issue processor
- slave processor
- SNA processor
- space-time processor
- stack-based processor
- stand-alone processor
- superpipelined processor
- superscalar processor
- symbolic processor
- symmetrical multiple processor
- synthesis processor
- system platform processor
- systolic processor
- target processor
- terminal interface processor
- terminal processor
- text processor
- transaction processor
- up-line processor
- user core allocation queue processor
- vector processor
- vertical processor
- very long instruction word processor
- video processor
- video-to-digital processor
- virtual processor
- visual image processor
- VLIW processor
- voice processor
- waveform matrix processor
- wavefront processor
- word processor
- word-oriented processor
- worker processorThe New English-Russian Dictionary of Radio-electronics > processor
-
6 machine
1) машина; механизм; устройство || машинный2) вычислительная машина; счётная машина (см. тж calculator, computer)3) редк. процессор•- adding machine
- alphanumeric machine
- alphameric machine
- artificial intelligence machine
- backup machine
- bad machine
- bare machine
- betting machine
- Boltzmann machine
- bookkeeping machine
- bottom-up machine
- bridge machine
- business calculating machine
- business machine
- butterfly machine
- calculating machine
- card-punching machine
- card-punch machine
- card-to-tape machine
- cellular automata machine
- character-oriented machine
- cipher machine
- clerical machine
- clock machine
- code machine
- collating machine
- computer assisted drafting machine
- computing machine
- connection machine
- cryptographic machine
- data flow machine
- data processing machine
- data structure machine
- database machine
- data-driven machine
- determinate machine
- diagnostic machine
- dictating machine
- direct-coupled machines
- document-originating machine
- duplex calculating machine
- electric calculating machine
- electromechanical machine
- electronic accounting machine
- electronic punch-card machine
- finite memory machine
- finite state machine
- friendly machine
- fully redundant machine
- game-playing machine
- game machine
- ganged machine
- good machine
- hardcopy machine
- hardware-realized machine
- inference machine
- information processing machine
- information-logical machine
- information-lossless machine
- key punch machine
- knowledge machine
- knowledgebase machine
- language-specific machine
- learning machine
- Lisp-based machine
- Lisp machine
- logger machine
- logical machine
- logic machine
- long wordlength machine
- Markovian machine
- maze-solving machine
- microprogrammable machine
- MIMD machine
- MS-DOS machine
- multiple-instruction multiple-data stream machine
- multiple-instruction multiple-data machine
- N/C machine
- N-bit machine
- NC machine
- network access machine
- non-numeric machine
- non-speculating machine
- non-Von-Neumann machine
- N-state machine
- numerical control machine
- object machine
- object-based machine
- OS implemented abstract machine
- paged machine
- parallel machine
- physical machine
- playing machine
- plotting machine
- POS machine
- protocol machine
- punched card machine
- punch card machine
- punched-tape machine
- pyramid-vision machine
- reading machine
- reduction machine
- relational algebra machine
- reservation machine
- scalar machine
- scanning machine
- self-organizing machine
- self-replicatind machine
- sequential machine
- sequential-processor machine
- serial machine
- series machine
- SIMD machine
- single-instruction multiple-data stream machine
- single-instruction multiple-data machine
- single-user machine
- sorting machine
- sound machine
- source machine
- speaker recognition machine
- stack machine
- state machine
- statistical machine
- super inference machine
- symbol-manipulatind machine
- tabulating machine
- tagged-token machine
- tag-token machine
- tape-operated machine
- target machine
- teaching machine
- teller machine
- thinking machine
- top-down machine
- transformation machine
- translating machine
- Turing machine
- user machine
- vector machine
- virtual machine
- Von Neumann machineEnglish-Russian dictionary of computer science and programming > machine
-
7 instruction
1) см. тж. processor instruction - машинная команда; команда, инструкция (в языках низкого уровня); оператор, предложение (в ЯВУ)а) одна из базовых операций, выполняемых процессором. Совокупность всех команд, которые может исполнять ЦП, образует его набор машинных команд (instruction set). Машинная команда может использовать регистры ЦП, содержимое ячеек памяти и некоторые другие аппаратные ресурсы.Syn:It also has instructions to allocate memory, to load modules, and to create, synchronize, and communicate between processes — Имеются также операторы для распределения памяти, загрузки модулей, создания, синхронизации и организации взаимодействия процессов, часть из которых исполняется процессором или интерпретатором, а другие служат для описания данных и организации программы.
Syn:см. тж. branch instruction, dummy instruction, illegal instruction, instruction address, instruction bus, instruction cache, instruction cycle, instruction counter, instruction decoder, instruction format, instruction grouper, instruction issue, instruction length, instruction-level parallelism, instruction mix, instruction mnemonics, instruction modifier, instruction opcode, instruction prefetch, instruction prefix, instruction queue, instruction register, instruction scheduling, instruction stream, instruction time, instruction window, I/O instruction, microinstruction, parallel instruction, privileged instruction2) инструкция, указание3) обучение, инструктажАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > instruction
-
8 instruction cycle
= instruction execution cycleцикл исполнения команды, командный цикл1) последовательность шагов ЦП для исполнения команды. Обычная схема исполнения состоит из пяти шагов: выборка (fetch), декодирование (instruction decoding), выборка операндов (operand fetch), исполнение команды (ALU operation), запись результата (result writeback).Syn:2) время, затрачиваемое центральным процессором на исполнение одной команды. Зависит от быстродействия ОЗУ, тактовой частоты, разрядности (ширины) шины данных и архитектуры процессора.Англо-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > instruction cycle
-
9 machine cycle
цикл выполнения каждой машинной команды, состоящий из набора элементарных операций: выборки команды (fetch), декодирования (decode), исполнения (execute) и запоминания результата (store).Syn:наименьшее время выполнения процессором одной элементарной операции; может занимать несколько периодов внутренней тактовой частоты процессора, или частоты синхронизацииАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > machine cycle
-
10 MIP
= machine-instruction processor -
11 MIP
1) Компьютерная техника: multiplexer interface panel2) Латинский язык: Multum In Parvo3) Военный термин: Management Implementation Plan, Message Input Processor, Meteorological Improvement program, main instrument panel, maintenance improvement program, management improvement plan, management improvement program, mandatory inspection point, material improvement plan, message input processing, military improvement program, military information program, military interdepartmental purchase, military post, missile impact predictor, modest improvement program, modification instruction package, Multilateral Interoperability Program4) Техника: machine instruction processor, master inspection plan, microwave interference protection, multiisotope production, multiple-in-line package5) Юридический термин: Minor In Possession6) Биржевой термин: Monthly Income Preferred Securities7) Сокращение: Model Installation Programme, Marine Insurance Policy8) Физика: Minimum Ionizing Particle9) Физиология: Maximum Intensity Projection, maximal inspiratory pressure10) Вычислительная техника: Multimission Interactive Picture, Multum In Parvo (3D, SAT), Main Information Packet (CD-MRW)11) Иммунология: Macrophage Inflammatory Protein, Mycorrhizal Inoculant Potential12) Стоматология: проекция максимальной интенсивности13) Связь: Medium Interface Point, мобильный протокол IP14) Биотехнология: molecular inversion probes15) Транспорт: Maintenance Implementation Plan, Mobilization Improvement Program, Mobility Impaired Person (This acronym used byTransport staff to describe a person who may have difficulty using stairs or escalators because of some physiological condition.)16) СМИ: Mountain Images Photography17) Деловая лексика: Managed Infrastructure Provider18) Бурение: обязательная программа импорта нефти (Mandatory Oil Import Program)19) Полимеры: mean indicated pressure20) Автоматика: material-in-process21) Общественная организация: Marquette Island Preserve -
12 mip
1) Компьютерная техника: multiplexer interface panel2) Латинский язык: Multum In Parvo3) Военный термин: Management Implementation Plan, Message Input Processor, Meteorological Improvement program, main instrument panel, maintenance improvement program, management improvement plan, management improvement program, mandatory inspection point, material improvement plan, message input processing, military improvement program, military information program, military interdepartmental purchase, military post, missile impact predictor, modest improvement program, modification instruction package, Multilateral Interoperability Program4) Техника: machine instruction processor, master inspection plan, microwave interference protection, multiisotope production, multiple-in-line package5) Юридический термин: Minor In Possession6) Биржевой термин: Monthly Income Preferred Securities7) Сокращение: Model Installation Programme, Marine Insurance Policy8) Физика: Minimum Ionizing Particle9) Физиология: Maximum Intensity Projection, maximal inspiratory pressure10) Вычислительная техника: Multimission Interactive Picture, Multum In Parvo (3D, SAT), Main Information Packet (CD-MRW)11) Иммунология: Macrophage Inflammatory Protein, Mycorrhizal Inoculant Potential12) Стоматология: проекция максимальной интенсивности13) Связь: Medium Interface Point, мобильный протокол IP14) Биотехнология: molecular inversion probes15) Транспорт: Maintenance Implementation Plan, Mobilization Improvement Program, Mobility Impaired Person (This acronym used byTransport staff to describe a person who may have difficulty using stairs or escalators because of some physiological condition.)16) СМИ: Mountain Images Photography17) Деловая лексика: Managed Infrastructure Provider18) Бурение: обязательная программа импорта нефти (Mandatory Oil Import Program)19) Полимеры: mean indicated pressure20) Автоматика: material-in-process21) Общественная организация: Marquette Island Preserve -
13 MIP
1. machine instruction processor - процессор обработки команд;2. maintenance improvement program - программа усовершенствования технического обслуживания;3. master inspection plan-генеральный инспекционный план;4. mean indicated pressure - среднее индикаторное давление;5. microwave interference protection - защита от помех СВЧ диапазона;6. multiisotope production - многоизотопное производство;7. multiple-in-line package - плоский корпус с многорядным расположением штырьковых выводов -
14 MIP
сокр. от machine-instruction processorThe New English-Russian Dictionary of Radio-electronics > MIP
-
15 system
1) система || системный2) система; установка; устройство; комплекс3) программа•- adaptive control system
- address selection system
- addressing system
- advice-giving system
- AI planning system
- AI system
- analog computing system
- analog-digital computing system
- analysis information system
- application system
- arabic number system
- arithmetic system
- assembly system
- asymmetrical system
- atomic system
- attached processor system
- audio system
- authoring system
- automated office system
- automatic block system
- automatic checkout system
- automatic control system
- automatic search system
- automatic test system
- automatically programmed system
- automatically taught system
- autoprogrammable system
- axiomatic system
- backup system
- bad system
- bang-bang system
- base-2 system
- basic system
- batch-processing system
- binary system
- binary-coded decimal system
- binary-number system
- biquinary system
- bit-mapped system
- bit-slice system
- black-board expert system
- block parity system
- buddy system
- business system
- bus-oriented system
- bussed system
- CAD system
- call-reply system
- carrier system
- cause-controlled system
- character recognition system
- character-reading system
- chargeback system
- check sum error-detecting system
- chip-layout system
- clock system
- closed loop system
- closed system
- co-authoring system
- code recognition system
- code system
- coded-decimal system
- code-dependent system
- code-insensitive system
- code-sensitive system
- code-transparent system
- coding system
- coincident selection system
- cold system
- color-coded system
- command system
- common-bus system
- communication data system
- communications-oriented system
- complete articulated system
- computer system
- computer-aided design system
- computer-aided system
- computer-based system
- computer-based weapon system
- computerized system
- computing system
- concatenated coding system
- concealment system
- conservative system
- contention system
- continuous presence system
- control system
- controlled system
- controlling system
- coordinate system
- cordonnier system
- costrained vision system
- cross system
- crossbar switch system
- data acquisition system
- data collection system
- data exchange system
- data flow system
- data gethering system
- data handling system
- data management system
- data preparation system
- data processing system
- data reduction system
- data retrieval system
- data storage system
- data system
- data transmission system
- database management system
- database support system
- data-managed system
- decimal number system
- decimal system
- decimal numeration system
- decision support system
- decision-aided system
- decision-making system
- decision-support system
- decision-taking system
- decoding selection system
- decomposable system
- dedicated system
- degenerate system
- design library support system
- design-automation system
- design-verification system
- development support system
- development system
- digital communication system
- digital computing system
- direct-current system
- directly coupled system
- discrete system
- discrete-continuous system
- disk operating system
- display system
- distributed database management system
- distributed function system
- distributed intelligence system
- distributed parameter system
- distributed system
- distribution system
- double intermediate tape system
- down system
- drafting system
- dual system
- dual-computer system
- dual-processor system
- duodecimal number system
- duodecimal system
- duotricenary number system
- duotricenary system
- duplexed computer system
- duplex computer system
- dyadic number system
- dyadic system
- dynamic mapping system
- dynamic scene system
- dynamic support system
- electronic data processing system
- electronic sorting system
- encoding system
- equipment adapted data system
- erasing system
- error-controlled system
- error-correcting system
- error-detecting system
- executive file-control system
- executive system
- expert control system
- expert support system
- expert system
- expert-planning system
- externally pulsed system
- fail-safe system
- fail-soft system
- fan-out system
- fault-tolerant system
- feasible system
- federated system
- feed system
- feedback system
- feedforward control system
- fiche retrieval system
- file control system
- file system
- fixed-lenght record system
- fixed-point system
- fixed-radix numeration system
- floating-point system
- fluid transport system
- follow-up system
- forgiving system
- front-end system
- fuzzy expert system
- generic expert system
- geographically distributed system
- goal-seeking system
- good system
- graceful degradation system
- graphic data system
- graphics display system
- graphics system
- help system
- heterogeneous system
- hexadecimal number system
- hexadecimal system - host system
- hostless system
- host-satellite system
- human visual system
- hunting system
- hypermedia system
- imaging system
- incremental system
- independent system
- indirectly coupled system
- information storage and retrieval system
- information retrieval system
- information handling system
- information management system
- information processing system
- information system
- information-feedback system
- in-plant system
- input/output control system
- instruction system
- instrumentation management system
- integrated system
- intelligence system
- interactive control system
- interactive system
- intercommunicating system
- interlock system
- internal number system
- internal system
- Internet-enabled system
- interrupt system
- isolated system
- kernel system
- key-to-disk/tape system
- knowledge base management system
- knowledge system
- knowledge-based system
- large-scale computing system
- laser communication system
- layered control system - lexicon-driven system
- library reference system
- local-network system
- long-haul system
- lumped-parameter system
- machine tool control system
- machine-limited system
- machine-oriented programming system
- macroinstruction system
- macro system
- magnetic memory system
- magnetic recording system
- magnetic tape plotting system
- mail message system
- mail system
- mailbox system
- management information system
- man-machine system
- mapping system
- map-reading system
- mass memory system
- mass storage system
- master/slave system
- matrix memory system
- memory driver system
- memory system
- message handling system
- message system
- microcomputer system
- microfilm printing system
- midsplit system
- MIMO system
- mixed-base numbering system
- mixed-base number system
- mixed-radix numeration system
- model-based expert system
- modular system
- monitoring system
- monitor system
- mosaic system - multicomputer system
- multidimensional system
- multifrequency system
- multilevel storage system
- multiloop system
- multimaster communication system
- multimicroprocessor system
- multiple computation system
- multiple-bus system
- multiple-coincident magnetic storage system
- multiple-output control system
- multiplex system
- multiport system
- multiprocessing system
- multiprocessor system
- multiprogramming computer system
- multiprogramming system
- multisite system
- multispeaker system
- multistable system
- multitasking operating system
- multiterminal system
- multiuser computer system
- multiuser system
- multiuser operating system
- multivariable system
- multivariate system
- negative-base number representation system
- negative-base number system
- network operating system
- node-replicated system
- noncomputerized system
- nonconsistently based number system
- nondegenerate system
- number representation system
- numbering system
- number system
- numeral system
- numeration system
- numerical system
- octal number system
- octal system
- office automation system
- off-line system
- on-demand system
- one-level storage system
- one-loop system
- one-over-one address system
- on-line system
- open-ended system
- open system
- open-loop system
- operating system
- operational system
- optical memory system
- overdetermined system
- overload-hold system
- page-on-demand system
- panelboard system
- paper-tape system
- parameter-driven expert system
- pattern recognition system
- peek-a-boo system
- peripheral system
- pipeline system
- polled system
- polymorphic system
- polyphase system
- portable system
- positional representation system
- Post-production system
- priority scheduling system
- priority system
- procedural expert system
- process control system
- processor-sharing system
- production control system
- production system
- program system
- programming system
- protection system
- pulse system
- pulse-or-no-pulse system
- pulse-signal system
- punch card computer system
- pure-binary numeration system
- purposeful system
- quadruplex system
- question-answering system
- queueing system
- queue system
- radix numbering system
- radix number system
- reactive system
- reading system
- real-time expert system
- real-time operating system
- real-time system
- reasoning system
- recognition system
- recording system
- recovery system
- reduntant number system
- reduntant system
- reflected binary number system
- reflected binary system
- refreshment system
- remote-access system
- replicating system
- representation system
- request-repeat system
- rerecording system
- residue number system
- residue system
- resource-sharing system
- restorable system
- retrieval system
- retrieval-only system - robotic system
- robot system
- rule-based expert system
- rule-based system
- scalable system
- selection system
- self-adapting system
- self-adjusting system
- self-aligning system
- self-balancing system
- self-check system
- self-contained system
- self-correcting system
- self-descriptive system
- self-learning system
- self-organizing system
- self-sustained oscillation system
- self-test system
- sensor-based system
- sequential scheduling system
- sexadecimal number system
- sexadecimal system
- shared-files system
- shell expert system
- silicon-development system
- simplex system
- single-drive system
- single-inheritance system
- single-phase clock system
- single-site system
- single-user computer system
- SISO system
- skeletal expert system
- slave system
- soft-sectored disk system
- software system
- sound system
- source code control system
- source-destination system
- space-division system
- stabilizing system
- stable system
- stand-alone system
- start-stop system
- state-determined system
- stepped start-stop system
- stereo system
- stochastically disturbed system
- storage system
- stripped-down expert system
- subsplit system
- supervisor control system
- switching system
- symbolic assembly system
- syntactical system
- system explanation system
- system of logic
- system of notation
- system with delay
- system with time lag
- tabulating system
- tape data processing system
- tape drive system
- tape handling system
- tape operating system
- tape plotting system
- tape resident system
- tape-oriented system
- target system
- taught system
- telecommunictions system
- telecontrol system
- terminal system
- ternary number system
- ternary system
- test system
- testbed system
- text-to-speech system
- time-division system
- time-pattern control system
- time-shared system
- time-shared-bus system
- time-sharing system
- timing system
- total system
- translating system
- translation system
- translator writing system
- transmitting system
- tree-structured system
- trusted computer system
- two-failure mode system
- two-level return system
- two-level system
- two-phase clock system
- ultrastable system
- Unified system
- uninterruptible power system
- uniprocessor system
- unrestorable system
- unstable system
- up system
- variable-lenght record system
- virtual system
- virtual-memory operating system
- vision system
- visual system
- voice/audio processing system
- voice-response system
- volunteer system
- weighted number system
- weighted system
- writing system
- xerox copy system
- zero-one systemEnglish-Russian dictionary of computer science and programming > system
-
16 pipeline
1) (см. тж. instruction pipeline, processor pipeline) - конвейер"сборочная линия" - цепочка параллельно работающих исполнительных устройств центрального процессора, на которой обработка команд разбивается на ряд небольших шагов, стадий или ступеней, выполняемых за один такт. Конвейер организован таким образом, что выходные данные одного устройства поступают на вход другого. Число стадий называется длиной конвейера. Использование конвейера позволяет начать исполнение следующей машинной команды в одном блоке до завершения предыдущей, т. е. с перекрытием по времени (различные стадии нескольких команд выполняются ЦП параллельно). Какова длина конвейера, столько команд одновременно он и может обрабатывать - и в идеале конвейеризация обеспечивает выигрыш в производительности (по сравнению с неконвейерными ЦП, non-pipelined processor), соответствующий числу ступеней конвейера. В современных процессорах конвейеры имеют длину до 20 стадий (Pentium 4). Однако параллельная обработка команд возможна не всегда, так как в программе часто встречаются команды условных переходов и ситуации, когда для исполнения команды требуется результат предшествующей команды. В таких случаях, чтобы предотвратить перезагрузку конвейера (см. pipeline break), применяются более сложные процессы: упреждающая обработка (предсказание переходов, branch prediction) или изменение порядка исполнения команд (out-of-order execution).The pipeline must be flushed before the CPU can respond to an interrupt. — Конвейер должен быть очищен перед тем как ЦП сможет реагировать на прерывание см. тж. balanced pipeline, branch delay slot, control-flow pipeline, execute phase, graphics pipeline, instruction pipeline, load delay slot, machine language, multipipeline processor, pipeline bubble, pipelined application, pipelined architecture, pipeline depth, pipeline diagram, pipeline error, pipeline processing, pipeline processor, pipeline scheduling, pipeline stall, stage, superpipelined, superscalar architecture, unbalanced pipeline
2) конвейеризировать, применять конвейерVector processors pipeline and parallelize the operations on the individual elements of a vector. — Векторные процессоры производят распараллеливание и конвейеризацию операций над индивидуальными элементами вектора см. тж. pipelining
3) конвейерныйАнгло-русский толковый словарь терминов и сокращений по ВТ, Интернету и программированию. > pipeline
-
17 architecture
1) структура; конфигурация; конструкция2) вчт архитектура•- bit-addressable architecture
- bit-slice architecture
- boundary scan architecture - bus architecture
- bus structured architecture
- chip architecture
- client-server architecture
- closed architecture - computer family architecture
- connectionist architecture
- data bus architecture
- data flow architecture
- defense-in-depth security architecture
- die architecture
- digital network architecture - dynamic power management architecture
- dynamic scalable architecture
- engagement architecture - firmware architecture
- hardware architecture
- Harvard architecture
- high-performance computer architecture
- hub architecture
- industry standard architecture
- linear addressing architecture
- machine check architecture
- medium control architecture
- micro channel architecture
- MIMD architecture
- MISD architecture
- modular architecture
- multi-issue architecture
- multiple-instruction multiple-data architecture
- multiple-instruction single-data architecture
- multiprocessor architecture
- multi-tier architecture
- network architecture
- neural network architecture - pipelined architecture
- Princeton architecture
- problem-oriented architecture
- process architecture
- PS/2 architecture - security architecture
- segmented addressing architecture
- segmented memory architecture
- serial storage architecture
- shading architecture
- shared memory architecture - single-instruction multiple-data architecture
- single-instruction single-data architecture
- SISD architecture
- slice architecture
- software architecture
- stack architecture
- stack-based architecture
- superpipelined architecture - systolic array architecture - tree architecture
- tree-and-branch architecture - unified memory architecture
- very long instruction word architecture
- virtual architecture - von Neumann architecture -
18 architecture
1) структура; конфигурация; конструкция2) вчт. архитектура•- bit-addressable architecture
- bit-slice architecture
- boundary scan architecture
- broadband network architecture
- bubble chip architecture
- bus architecture
- bus structured architecture
- chip architecture
- client-server architecture
- closed architecture
- common object request brokers architecture
- computer architecture
- computer family architecture
- connectionist architecture
- data bus architecture
- data flow architecture
- defense-in-depth security architecture
- die architecture
- digital network architecture
- distributed enterprise management architecture
- document content architecture
- document interchange architecture
- domain architecture
- dynamic power management architecture
- dynamic scalable architecture
- engagement architecture
- enhanced industry standard architecture
- extensible architecture
- final-form-text document content architecture
- firewall architecture
- firmware architecture
- hardware architecture
- Harvard architecture
- high-performance computer architecture
- hub architecture
- industry standard architecture
- linear addressing architecture
- machine check architecture
- medium control architecture
- micro channel architecture
- MIMD architecture
- MISD architecture
- modular architecture
- multi-issue architecture
- multiple-instruction multiple-data architecture
- multiple-instruction single-data architecture
- multiprocessor architecture
- multi-tier architecture
- network architecture
- neural network architecture
- office document architecture
- office document management architecture
- open architecture
- open document architecture
- open document management architecture
- open network architecture
- organizational architecture
- pipelined architecture
- Princeton architecture
- problem-oriented architecture
- process architecture
- PS/2 architecture
- revisable-form-text document content architecture
- scalable processor architecture
- security architecture
- segmented addressing architecture
- segmented memory architecture
- serial storage architecture
- shading architecture
- shared memory architecture
- signal computing system architecture
- SIMD architecture
- single-instruction multiple-data architecture
- single-instruction single-data architecture
- SISD architecture
- slice architecture
- software architecture
- stack architecture
- stack-based architecture
- superpipelined architecture
- systems application architecture
- systems monitor architecture
- systems network architecture
- systolic architecture
- systolic array architecture
- Texas Instruments graphics architecture
- three-tier architecture
- tree architecture
- tree-and-branch architecture
- twin-bank memory architecture
- two-level cache architecture
- unified memory architecture
- very long instruction word architecture
- virtual architecture
- virtual intelligent storage architecture
- VLIW architecture
- von Neumann architecture
- Windows open services architectureThe New English-Russian Dictionary of Radio-electronics > architecture
-
19 time
1) время; период времени2) момент времени || отмечать время3) хронометрировать; рассчитывать по времени4) синхронизировать; согласовывать во времени•- access time
- accumulated operating time
- action time
- activity slack time
- actual activity completion time
- actual time
- actuation time
- addition time
- add time
- add-subtract time
- arrival time
- assembly time
- attended time
- available machine time
- average operation time
- awaiting-repair time
- binding time
- bit time
- build-up time
- calculating time
- carry-over time
- carry time
- chip-access delay time
- circuit time
- clear-write time
- coding time
- compile time
- computation time
- computer dead time
- computer time
- computer useful time
- computing time
- connect time
- control time
- crash time
- crisis time
- cycle time
- data time
- data-retention time
- dead time
- debatable time
- debugging time
- debug time
- decay time
- deceleration time
- delay time
- design time
- destination time
- development time
- digit time
- discrete time
- divide time
- down time
- earliest expected time
- effective time
- engineering time
- entry time
- error-free running time
- estimated time
- event scheduled completion time
- event slack time
- event time
- execution cycle time
- execution time
- expected activity time
- fall time
- fault correction time
- fault location time
- fault time
- fetch time
- float time
- form movement time
- forward-current rise time
- gate time
- good time
- guard time
- handshaking time
- holding time
- hold time
- idle time
- improvement time
- incidental time
- ineffective time
- inoperable time
- installation time
- instruction time
- integrator time
- interaction time
- interarrival time
- interrogation time
- latency time
- latest allowable event time
- load time
- lock-grant time
- lock-holding time
- logarithmic time
- machine available time
- machine spoiled work time
- machine spoiled time
- machine time
- maintenance time
- makeup time
- manual time
- mean error-free time
- mean repair time
- mean time between errors
- mean time between failures
- mean time to repair
- memory cycle time
- miscellaneous time
- mission time
- most likely time
- multiply time
- no-charge machine fault time
- no-charge non-machine-fault time
- no-charge time
- nonfailure operating time
- nonreal time
- nonscheduled down time
- nonscheduled maintenance time
- object time
- occurrence time
- off time
- on time
- one-pulse time
- operating time
- operation time
- operation-use time
- optimistic time
- out-of-service time
- peaking time
- peak time
- pessimistic time
- polynomial time
- pool time
- positioning time
- power up time
- pre-assembly time
- precedence waiting time
- preset time
- preventive maintenance time
- print interlock time
- problem time
- processing time
- process time
- processor cycle time
- production time
- productive time
- program execution time
- program fetch time
- program testing time
- progration time
- propagation delay time
- proving time
- pulse time
- punch start time
- read time
- reading access time
- readout time
- read-restore time
- real time
- record check time
- recovery time
- reference time
- refresh time
- reimbursed time
- repair delay time
- repair time
- representative computing time
- request-response time
- resetting time
- resolution time
- resolving time
- response time
- restoration time
- restoring time
- retrieval time
- reversal time
- reverse-current fall time
- rewind time
- rise time
- round-trip time
- routine maintenance time- run time- sampling time
- scaled real time
- scheduled time
- schedule time
- scheduled down time
- scheduled operating time
- scramble time
- screen storage time
- search time
- seek time
- send-receive-forward time
- sensitive time
- service time
- serviceable time
- setting time
- settling time
- setup time
- simulated time
- s-n transition time
- standby time
- starting time
- start time
- start-up time
- stop time
- storage cycle time
- storage time
- subtraction time
- subtract time
- superconducting-normal transition time
- supplementary maintenance time
- swap time
- switch delay time
- switch time
- switching time
- system time
- takedown time
- task time
- testing time
- throughput time
- time between failures
- time for motion to start
- time now
- total time
- track-to-track move time
- transfer time
- transit time
- transition time
- translating time
- true time
- turnaround time
- turnoff time
- turnon time
- turnover time
- unacked time
- unattended standby time
- unattended time
- unavailable time
- unit time
- unused time
- up time
- useful time
- user time
- variable dead time
- waiting time
- word time
- word-addressing time
- write timeEnglish-Russian dictionary of computer science and programming > time
-
20 word
1) словоб) машинное слово; группа из 8 бит2) пароль3) pl речь4) стихотворный текст; слова (напр. песни)•- address word
- alphabetical word
- banner word
- binary word
- block descriptor word
- call word
- channel address word
- channel status word
- check word
- code word
- command word
- comparand word
- computer word
- connective word
- control word
- data word
- descriptor word
- device status word
- direction word
- double word
- dummy word
- echoic word
- empty word
- flagged word
- green words
- guide word
- half word
- identifier word
- index word
- initialization command word
- instruction word
- interrogation word
- key word
- long word
- machine word
- machine status word
- matching word
- memory word
- multilength word
- numerical word
- operation control word
- optional word
- packed word
- parameter word
- pattern word
- processor status word
- program status word
- quad word
- record descriptor word
- reserved word
- search word
- short word
- SMPTE sync word
- status word
- stuffing word
- sync word
- synchronization word
- tag word
- test word
- unique word
- very long instruction word
- WH-word
- 1
- 2
См. также в других словарях:
Processor register — In computer architecture, a processor register is a small amount of storage available as part of a CPU or other digital processor. Such registers are (typically) addressed by mechanisms other than main memory and can be accessed more quickly.… … Wikipedia
Machine code — or machine language is a system of impartible instructions executed directly by a computer s central processing unit. Each instruction performs a very specific task, typically either an operation on a unit of data (in a register or in memory, e.g … Wikipedia
Instruction set — An instruction set, or instruction set architecture (ISA), is the part of the computer architecture related to programming, including the native data types, instructions, registers, addressing modes, memory architecture, interrupt and exception… … Wikipedia
Instruction pipeline — Pipelining redirects here. For HTTP pipelining, see HTTP pipelining. Basic five stage pipeline in a RISC machine (IF = Instruction Fetch, ID = Instruction Decode, EX = Execute, MEM = Memory access, WB = Register write back). In the fourth clock… … Wikipedia
Instruction set simulator — An instruction set simulator (ISS) is a simulation model, usually coded in a high level programming language, which mimics the behavior of a mainframe or microprocessor by reading instructions and maintaining internal variables which represent… … Wikipedia
Instruction (computer science) — In computer science, an instruction is a single operation of a processor defined by an instruction set architecture. In a broader sense, an instruction may be any representation of an element of an executable program, such as a bytecode.On… … Wikipedia
Machine code monitor — Apple II monitor A machine code monitor (aka machine language monitor) is software built into or separately available for various computers, allowing the user to enter commands to view and change memory locations on the machine, with options to… … Wikipedia
instruction set — The set of machine language instructions that a processor recognizes and can execute. An instruction set for reduced instruction set computing (RISC) may only contain a few instructions; a computer that uses complex instruction set computing… … Dictionary of networking
Stack machine — In computer science, a stack machine is a model of computation in which the computer s memory takes the form of one or more stacks. The term also refers to an actual computer implementing or simulating the idealized stack machine.In addition, a… … Wikipedia
Reduced instruction set computer — The acronym RISC (pronounced risk ), for reduced instruction set computing, represents a CPU design strategy emphasizing the insight that simplified instructions which do less may still provide for higher performance if this simplicity can be… … Wikipedia
Vector processor — A vector processor, or array processor, is a CPU design where the instruction set includes operations that can perform mathematical operations on multiple data elements simultaneously. This is in contrast to a scalar processor which handles one… … Wikipedia